Latest Post

Ads

Verilog Code for AND gate with Testbench

Verilog Code for AND gate Structural/Gate Level Modelling

module ANDgate(
    input a,
    input b,
    output c
    );
and(c,a,b);
endmodule

//Testbench code for AND gate Structural/Gate Level Modelling


initial begin

              // Initialize Inputs
              a = 0;b = 0;
              // Wait 100 ns for global reset to finish
              #100 a = 0; b = 1;
              #100 a = 1; b = 0;
              #100 a = 1; b = 1;
end


Output:
VLSI: AND gate Structural/Gate Level Modelling with Testbench

RTL Schematic:
AND Gate Verilog
AND Gate Verilog

Other Verilog Programs:

Go to Index of Verilog Programming

Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench