Latest Post

Ads

Verilog: NAND gate Structural/Gate Level Modelling with Testbench

Verilog Code for NAND gate Structural/Gate Level Modelling

module NANDgate(
    input a,
    input b,
    output c
    );
nand(c,a,b);
endmodule

//Testbench code for NAND gate Structural/Gate Level Modelling

initial begin
              // Initialize Inputs
              a = 0;b = 0;
              // Wait 100 ns for global reset to finish
              #100 a = 0; b = 1;
              #100 a = 1; b = 0;
              #100 a = 1; b = 1;
end

Output:


RTL Schematic:
NAND Gate Verilog

Other Verilog Programs:

Go to Index of Verilog Programming

Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench