Latest Post

Ads

Verilog: NOT gate Structural/Gate Level Modelling with Testbench

Verilog Code for NOT gate Structural/Gate Level Modelling

module NOTgate(
    input a,
    output c
    );
not(c,a);
endmodule


//Testbench code for NOT gate Structural/Gate Level Modelling


initial begin
              // Initialize Inputs
              a = 0;
              // Wait 100 ns for global reset to finish
              #100 a = 0; 
              #100 a = 1; 
end


Output:
VLSI: NOT gate Structural/Gate Level Modelling with Testbench


RTL Schematic:
Not Gate Verilog



Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench