Posts

Showing posts from 2021

Ads

Verilog: SR Flip Flop Behavioral Modelling using If Else Statement with Testbench Code

Image
Verilog Code for SR Flip Flop Behavioral Modelling using If Else with Testbench Code module SR_FF(      input s,r,clock,reset,      output q, qb     ); reg q, qb;  always @ (posedge (clock))      begin           if (reset)               begin                   q <= 0;                   qb <=1;               end             else               begin                   if (s != R)                         begin                         q <= s;                         qb <= R;                        end                     else if (s == 1 && r == 1)                           begin                           q <= 1'bZ;                          qb <= 1'bZ;                           end                 end end   endmodule //Testbench code for SR Flip Flop Behavioral Modelling using If Else Statement initial  begin // Initialize Inputs   s = 0;r = 0; clock = 0; reset = 0; // Wait 100 ns for global reset to finish   #100; // Add stimulus here   #100; s=0

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench