Latest Post

Ads

VLSI: NOR Gate Dataflow Modelling with Testbench

Verilog Code for NOR gate Dataflow Modelling

module NORgate(

    input a,
    input b,
    output c
    );
assign c = ~ (a | b);
endmodule

//Testbench code for NOR gate Dataflow Modelling

initial begin
              // Initialize Inputs
              a = 0;b = 0;

              // Wait 100 ns for global reset to finish
              #100 a = 0; b = 1;
              #100 a = 1; b = 0;
              #100 a = 1; b = 1;
 end


Output:
Verilog, Nor Gate, VLSI


Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench