Latest Post

Ads

Verilog: User Defined Primitives (UDP) of OR Gate

Verilog Code for User Defined Primitives of OR Gate

primitive udp_or(
    input a, b,
    output c
    );
table 
//a b : c 1 ? : 1; ? 1 : 1; 0 0 : 0; 0 x : x; x 0 : x;
endtable 
endprimitive

Also See:

List of Verilog Programs

Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench