Latest Post

Ads

Verilog: 2 to 4 Decoder Behavioral Modelling using Case Statement with Testbench Code

Verilog Code for 2 to 4 Decoder Behavioral Modelling using Case Statement with Testbench Code

module 2_4_DEC(
    input [1:0]din,
    output [3:0]dout 
    );
reg [3:0]dout;
always @ (din)
case (din)
    0 : dout[0] = 1; 
    1 : dout[1] = 1; 
    2 : dout[2] = 1; 
    3 : dout[3] = 1; 
    default : dout = 4’bxxxx;
endcase
endmodule

//Testbench code for 2 to 4 Decoder Behavioral Modelling using Case Statement

initial begin
// Initialize Inputs 
din = 0;
// Wait 100 ns for global reset to finish
#100;
// Add stimulus here 
#100; din=0; 
#100; din=1; 
#100; din=2; 
#100; din=3;
end
initial begin 
#100 
$monitor(“din=%b, dout=%b”, din, dout); 
end 
endmodule

Xillinx Output:

2 - 4 Decoder Behavioral Modelling Verilog Code
2 to 4 Decoder Behavioral Modelling Response

Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench