Latest Post

Ads

Verilog: User Defined Premitives (UDP) of AND Gate

Verilog Code for User Defined Primitives of AND Gate

primitive udp_and(
    input a, b,
    output out
    );
table 
//a b : out 
-------------- 
  0 0 : 0; 
  0 1 : 0; 
  1 0 : 0; 
  1 1 : 1; 
endtable 
endprimitive

Also See:

List of Verilog Programs

Comments

Ads

Popular posts from this blog

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 2 Bit Magnitude Comparator Dataflow Modelling

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench