Latest Post

Ads

Verilog: NOR Gate Behavioral Modelling with Testbench Code

   Verilog Code NOR Gate Behavioral Modelling

module NOR_GATE (

input a, b,

output out );

reg out;

always @(a or b)

begin

if(a==0 & b==0)

out = 1’b1;

else

out = 1’b0;

endmodule

//test-bench

initial begin

a=0; b=0;

#100; //wait 100ns for global reset to finish

//add stimulus here

#100 a=0; b=1;

#100 a=1; b=0;

#100 a=1; b=1;

end

initial begin

#100 $monitor(“a=%b, b=%b, out=%b”, a, b, out);

end

endmodule


Xilinx Output:
NOR Gate Verilog Behavioral Modelling Testbench
NOR Gate Behavioral Modelling Verilog


Comments

Ads

Popular posts from this blog

VLSI: BCD to Excess 3 and Excess 3 to BCD Dataflow Modelling

VLSI: 1-4 DEMUX (Demultiplexer) Dataflow Modelling with Testbench

Full Subtractor Verilog Code in Structural/Gate Level Modelling with Testbench

VLSI: 4-1 MUX Dataflow Modelling with Testbench

1 to 4 DEMUX (Demultiplexer) Verilog CodeStructural/Gate Level Modelling with Testbench